Dynamic Adaptation in Server-Class Microprocessors: Workload Phase and Duration Predictions with Live Counter Measurements

Copyright © (2005) by IEEE. Permission to make digital or hard copies of part or all of this work for personal or classroom use is granted without fee provided that copies are not made or distrubuted for profit. To copy otherwise, to republish, to post on servers, or to redistribute to lists, requires prior specific permission and/or a fee.

Computer systems increasingly rely on adaptive dynamic management of their operations in order to balance power and performance goals. Such dynamic adjustments rely heavily on the system's ability to observe and predict workload behavior and system responses. While previous application phase analysis has focused on phase behavior at the granularity of small snippets of millions of instructions, our work here looks at coarse-grained workload phases on the order of tens to hundreds of milliseconds.

In this paper, we characterize the workload behavior of full benchmarks running on server-class systems using hardware performance counter measurements. Based on these characterizations, we develop a set of value, gradient, and duration prediction techniques that can help systems provision resources. Our best duration prediction scheme is able to predict the duration of program phases ranging from 80ms to over 1 second with greater than 90% accuracy across the SPEC benchmarks. All of our results are measured on live systems in multi-user mode, and thus demonstrate the ability of our predictors to function in the face of real-system variations. These phase prediction techniques can be applied to a range of usages including thread scheduling, planning dynamic voltage and frequency scaling (DVS), and managing system load balancing. In particular, our results demonstrate applying our prediction techniques to DVS. Our simple predictors identify 92% of the low-energy opportunities found by an oracle. Our most aggressive predictors do similarly well, and reduce the number of predictions required, allowing more system autonomy and requiring less application monitoring and interference.

Published in IEEE Micro as: Long-Term Workload Phases: Duration Predictions and Applications to DVFs

By: Canturk Isci; Margaret Martonosi; Alper Buyuktosunoglu

Published in: IEEE Micro, volume 25, (no 5), pages 39-51 in 2005

LIMITED DISTRIBUTION NOTICE:

This Research Report is available. This report has been submitted for publication outside of IBM and will probably be copyrighted if accepted for publication. It has been issued as a Research Report for early dissemination of its contents. In view of the transfer of copyright to the outside publisher, its distribution outside of IBM prior to publication should be limited to peer communications and specific requests. After outside publication, requests should be filled only by reprints or legally obtained copies of the article (e.g., payment of royalties). I have read and understand this notice and am a member of the scientific community outside or inside of IBM seeking a single copy only.

rc23448.pdf

Questions about this service can be mailed to reports@us.ibm.com .